research papers in vlsi design

VLSI Design: Circuits, Systems and Applications

Select Proceedings of ICNETS2, Volume V

  • Conference proceedings
  • © 2018
  • A Ravi Sankar 1 ,
  • P Augusta Sophy Beulet 2

Electrical and Electronic Engineering Department, University of Melbourne, Melbourne, Australia

You can also search for this editor in PubMed   Google Scholar

School of Electronics Engineering, VIT, Chennai, India

  • Covers key developments in the fields of electronics and communication engineering
  • Presents the latest research from the field of very-large-scale integration (VLSI) Design
  • Includes contributions from top researchers and experts
  • Includes supplementary material: sn.pub/extras

Part of the book series: Lecture Notes in Electrical Engineering (LNEE, volume 469)

Included in the following conference series:

  • ICNETS: International Conference on NextGen Electronic Technologies

Conference proceedings info: ICNETS 2016.

30k Accesses

34 Citations

This is a preview of subscription content, log in via an institution to check access.

Access this book

  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
  • Durable hardcover edition

Tax calculation will be finalised at checkout

Other ways to access

Licence this eBook for your library

Institutional subscriptions

About this book

This book gathers a collection of papers by international experts presented at the International Conference on NextGen Electronic Technologies (ICNETS2-2017), which cover key developments in the field of electronics and communication engineering. ICNETS2 encompassed six symposia covering all aspects of the electronics and communications domains, including relevant nano/micro materials and devices. This book showcases the latest research in very-large-scale integration (VLSI) Design: Circuits, Systems and Applications, making it a valuable resource for all researchers, professionals, and students working in the core areas of electronics and their applications, especially in digital and analog VLSI circuits and systems.

Similar content being viewed by others

research papers in vlsi design

A Review of Low-Power VLSI Technology Developments

research papers in vlsi design

Challenges and Future Perspectives of Low-Power VLSI Circuits: A Study

research papers in vlsi design

IoT: Bird’s Eye View, Megatrends and Perspectives

  • High Performance Digital VLSI Circuits
  • Systems Low Power Design Techniques Analog
  • Mixed Signal
  • RF IC Design Testing of VLSI Circuits
  • Verification Methodologies Architectures
  • Hardware security
  • Cryptography and Watermarking
  • CNTFET/FINFET/Memristors/Neuristors

Table of contents (27 papers)

Front matter, front-end cmos circuit for low power consumption.

  • K. Dinesh Kumar, K. Ferents Koni Jiavana

A Preliminary Study of Oscillators, Phase and Frequency Detector, and Charge Pump for Phase-Locked Loop (PLL) Applications

  • R. Prithiviraj, J. Selvakumar

All-Digital RF Transmitter with Highly Power-Efficient Doherty Power Amplifier

  • Deepa Pradeep, B. Ananda Venkatesan

Adiabatic Techniques for Energy-Efficient Barrel Shifter Design

  • K. Anantharaman, A. V. M. Manikandan

A 79 GHz CMOS LNA with Adaptive Biasing

  • D. Rubeena, J. Manjula

X -band Phased-Array Transmitter in 180-nm SiGe BiCMOS Technology with Stacked Power Amplifier

  • Sijo Thomas, T. Saminathan

Short-Range Low Data Rate Pulsed UWB Transmitter

  • G. Sarathy, S. Praveen Kumar

A New High-Speed Multiplier Based on Carry-Look-Ahead Adder and Compressor

  • B. Jeevan, K. Sivani

Real-Time Automatic Peaks and Onsets Detection of Photoplethysmographic Signals

  • P. Madhan Mohan, V. Nagarajan, J. C. Vignesh

Design of Low-Power CMOS Four-Quadrant Analog Multiplier in Nanometer Scaling

  • N. Sharath, S. Suhasini

Designing 5T Embedded DRAM Cell for Ultra-Low-Power Low-Voltage Applications Based on Schmitt Trigger

  • V. Snigdha Chandrika, M. Maria Dominic Savio

Design and Implementation of Multi-bit Self-checking Carry Select Adder

  • Shivkumar Kavitkar, A. Anita Angeline

A Novel Adiabatic Logic for Low Power VLSI Circuit Design and Power Optimization Using FinFET

  • B. P. Bhuvana, V. S. Kanchana Bhaaskaran

Test Signal Generation for Detecting Faults on Mil-Std 1553 Bus

  • Sowmya Madhavan, S. Sandya

Design of Ultra-Low-Voltage Energy Efficient Hybrid Full Adder Circuit

  • M. Mahaboob Basha, K. Venkata Ramanaiah, P. Ramana Reddy

A Novel MTCMOS-Based On-Chip Soft-Start Circuit for Low Leakage LED Driver with Minimum In-Rush Current

  • P. Magesh Kannan, G. Nagarajan

Implementation of Dual Hysteresis Mode Flip-Flop Multivibrator Using Differential Voltage Current Conveyor

  • Amit Bhattacharyya

High Performance Domino Logic Circuit Design by Contention Reduction

  • A. Anita Angeline, V. S. Kanchana Bhaaskaran

Differential Power Analysis (DPA) Resistant Cryptographic S-Box

  • A. Prathiba, K. M. Madhu, V. S. Kanchana Bhaaskaran

Editors and Affiliations

A Ravi Sankar, P Augusta Sophy Beulet

About the editors

Dr. Jie Li received his Bachelor and Ph.D. degrees in Engineering from Massey University, Auckland, New Zealand in 2007 and 2012, respectively. He subsequently worked on mixed signal integrated circuit designs, wireless and optical device integrations, Internet of Things (IoT) systems and applications. In April 2012, he joined the Centre for Energy-Efficient Telecommunications (CEET), a Bell Labs research center based at the University of Melbourne as a Research Fellow, where he develops circuits and systems for low-energy fiber access networks and wireless networks. Dr. Li is currently an honorary fellow of the Department of Electrical and Electronic Engineering at the University of Melbourne, and the co-founder of Medrivs Ltd., a technology company that delivers research and solutions for medical devices and applications. Dr. Li holds three international patents and has authored or co-authored more than 20 international journal and conference articles. He has served on the technical program committees of several international conference and journals. 

A. Ravi Sankar received his B.E. degree in Electrical and Electronics Engineering from the University of Madras, his M.E. degree in Very-large-scale integration (VLSI) Design from the Department of Electronics and Communication Engineering, PSG College of Technology, Bharathiar University and his Ph.D. degree in Electronics and Electrical Communication Engineering from the Indian Institute of Technology Kharagpur (IIT Kharagpur). He worked as a project scientist at the Advanced Technology Development Centre, IIT Kharagpur for a period of 14 months, where he focused on Indian Space Research Organization (ISRO)-sponsored projects and was involved in the design, fabrication and testing of microelectromechanical system (MEMS) sensors. From 2009 to 2011, he worked as an Associate Professor at the Department of Electronics and Communication Engineering, Karunya University,Coimbatore. Since June 2011, he has been working as a Professor at the School of Electronics Engineering, VIT University, Chennai Campus. His research interests include MEMS and Microsystems, Silicon and Glass Micromachining, Microelectronics and VLSI Design and Nanosensors. He has published and presented more than 45 papers in national and international conferences and peer-reviewed journals. 

P. Augusta Sophy received her Bachelor’s degree in Electronics and Communication Engineering from Government College of Engineering, Tamil Nadu, India in 1988. After spending several years in teaching, she obtained her Master’s degree with a specialization in ‘VLSI Design’ from Easwari Engineering College, affiliated to Anna University, Chennai, India in 2006. She then pursued research in low-power VLSI architectures for digital signal processing (DSP) algorithms and received her Ph.D. degree from Anna University in 2015. With 25 years of teaching experience at various polytechnics and Engineering Colleges, she is currently working at VIT University, Chennai. She has published more than 15 research papers in peer-reviewed journals. Her research interests include high-performance VLSI architectures for signal processing algorithms and arithmetic circuits, low-power VLSI and reconfigurable architectures.

Bibliographic Information

Book Title : VLSI Design: Circuits, Systems and Applications

Book Subtitle : Select Proceedings of ICNETS2, Volume V

Editors : Jie Li, A Ravi Sankar, P Augusta Sophy Beulet

Series Title : Lecture Notes in Electrical Engineering

DOI : https://doi.org/10.1007/978-981-10-7251-2

Publisher : Springer Singapore

eBook Packages : Engineering , Engineering (R0)

Copyright Information : Springer Nature Singapore Pte Ltd. 2018

Hardcover ISBN : 978-981-10-7250-5 Published: 10 January 2018

Softcover ISBN : 978-981-13-5611-7 Published: 11 February 2019

eBook ISBN : 978-981-10-7251-2 Published: 02 January 2018

Series ISSN : 1876-1100

Series E-ISSN : 1876-1119

Edition Number : 1

Number of Pages : XIV, 270

Number of Illustrations : 115 b/w illustrations, 114 illustrations in colour

Topics : Circuits and Systems , Electronic Circuits and Devices , Control Structures and Microprogramming

  • Publish with us

Policies and ethics

  • Find a journal
  • Track your research
  • Electronic Design
  • Engineering
  • Electronic Engineering
  • Low Power Design

Advancements in VLSI low-power design: Strategies and optimization techniques

  • February 2024
  • Applied and Computational Engineering 41(1):22-28
  • 41(1):22-28
  • This person is not on ResearchGate, or hasn't claimed this research yet.

Abstract and Figures

The cause of VLSI Switching power consumption. The energy required for each flip of the load capacitor is í µí°¸í µí±›í µí±’í µí±Ÿí µí±”í µí±¦ í µí±¡í µí±Ÿí µí±Ží µí±›í µí± í µí±–í µí±¡í µí±–í µí±œí µí±› = í µí° ¶ í µí°¿ • í µí±‰í µí±‘í µí±‘ 2 (2) í µí° ¶ í µí°¿ is load capacitor, Vdd is power source. Switching power consumption is í µí±ƒí µí±¦í µí±‘í µí±› = í µí°¸í µí±›í µí±’í µí±Ÿí µí±”í µí±¦ í µí±¡í µí±Ÿí µí±Ží µí±›í µí± í µí±–í µí±¡í µí±–í µí±œí µí±› = í µí° ¶ í µí°¿ • í µí±‰í µí±‘í µí±‘ 2 • í µí±ƒ í µí±¡í µí±Ÿí µí±Ží µí±›í µí± • í µí±“ í µí±í µí±™í µí±œí µí±í µí±˜ (3)

Discover the world's research

  • 25+ million members
  • 160+ million publication pages
  • 2.3+ billion citations

Ijaz Ali

  • Arvind Rajawata

Kanika Kaur

  • Valerie J Ohm

Miriam Leeser

  • Debasis Mukherjee
  • B.V. Ramana Reddy
  • Senthil Kumaran Varadharajan
  • Viswanathan Nallasamy
  • Jinwook Jung

I.H.-R. Jiang

  • Yin-Lang Li
  • Zhang Bowwen
  • Recruit researchers
  • Join for free
  • Login Email Tip: Most researchers use their institutional email address as their ResearchGate login Password Forgot password? Keep me logged in Log in or Continue with Google Welcome back! Please log in. Email · Hint Tip: Most researchers use their institutional email address as their ResearchGate login Password Forgot password? Keep me logged in Log in or Continue with Google No account? Sign up

Information

  • Author Services

Initiatives

You are accessing a machine-readable page. In order to be human-readable, please install an RSS reader.

All articles published by MDPI are made immediately available worldwide under an open access license. No special permission is required to reuse all or part of the article published by MDPI, including figures and tables. For articles published under an open access Creative Common CC BY license, any part of the article may be reused without permission provided that the original article is clearly cited. For more information, please refer to https://www.mdpi.com/openaccess .

Feature papers represent the most advanced research with significant potential for high impact in the field. A Feature Paper should be a substantial original Article that involves several techniques or approaches, provides an outlook for future research directions and describes possible research applications.

Feature papers are submitted upon individual invitation or recommendation by the scientific editors and must receive positive feedback from the reviewers.

Editor’s Choice articles are based on recommendations by the scientific editors of MDPI journals from around the world. Editors select a small number of articles recently published in the journal that they believe will be particularly interesting to readers, or important in the respective research area. The aim is to provide a snapshot of some of the most exciting work published in the various research areas of the journal.

Original Submission Date Received: .

  • Active Journals
  • Find a Journal
  • Proceedings Series
  • For Authors
  • For Reviewers
  • For Editors
  • For Librarians
  • For Publishers
  • For Societies
  • For Conference Organizers
  • Open Access Policy
  • Institutional Open Access Program
  • Special Issues Guidelines
  • Editorial Process
  • Research and Publication Ethics
  • Article Processing Charges
  • Testimonials
  • Preprints.org
  • SciProfiles
  • Encyclopedia

electronics-logo

Journal Menu

  • Electronics Home
  • Aims & Scope
  • Editorial Board
  • Reviewer Board
  • Topical Advisory Panel
  • Instructions for Authors
  • Special Issues
  • Sections & Collections
  • Article Processing Charge
  • Indexing & Archiving
  • Editor’s Choice Articles
  • Most Cited & Viewed
  • Journal Statistics
  • Journal History
  • Journal Awards
  • Society Collaborations
  • Conferences
  • Editorial Office

Journal Browser

  • arrow_forward_ios Forthcoming issue arrow_forward_ios Current issue
  • Vol. 13 (2024)
  • Vol. 12 (2023)
  • Vol. 11 (2022)
  • Vol. 10 (2021)
  • Vol. 9 (2020)
  • Vol. 8 (2019)
  • Vol. 7 (2018)
  • Vol. 6 (2017)
  • Vol. 5 (2016)
  • Vol. 4 (2015)
  • Vol. 3 (2014)
  • Vol. 2 (2013)
  • Vol. 1 (2012)

Find support for a specific problem in the support section of our website.

Please let us know what you think of our products and services.

Visit our dedicated information section to learn more about MDPI.

VLSI Circuits & Systems Design

  • Print Special Issue Flyer
  • Special Issue Editors

Special Issue Information

  • Published Papers

A special issue of Electronics (ISSN 2079-9292). This special issue belongs to the section " Circuit and Signal Processing ".

Deadline for manuscript submissions: closed (30 June 2022) | Viewed by 27385

Share This Special Issue

Special issue editor.

research papers in vlsi design

Dear Colleagues,

The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration area, testing and security, without, however, being limited to them. Authors are encouraged to submit works related to emerging research topics and applications, such as hardware security, low-power IoT devices, high-performance processing cores, etc.

The topics of interest include, but are not limited to:

  • Device modeling
  • Emerging technologies
  • CAD for VLSI design
  • Hardware/software co-design
  • Testing and verification
  • FPGA-based design
  • Embedded systems
  • Low-power circuits and systems
  • Hardware security
  • Emerging applications
  • VLSI for AI and ML algorithms

Dr. Athanasios Kakarountas Guest Editor

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website . Once you are registered, click here to go to the submission form . Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Electronics is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2400 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Published Papers (11 papers)

research papers in vlsi design

Further Information

Mdpi initiatives, follow mdpi.

MDPI

Subscribe to receive issue release notifications and newsletters from MDPI journals

cmos vlsi Recently Published Documents

Total documents.

  • Latest Documents
  • Most Cited Documents
  • Contributed Authors
  • Related Sources
  • Related Keywords

Back propagation neural network based power estimation method for CMOS VLSI circuits

Low power vlsi design techniques: a review.

Since CMOS technology consumes less power it is a key technology for VLSI circuit design. With technologies reaching the scale of 10 nm, static and dynamic power dissipation in CMOS VLSI circuits are major issues. Dynamic power dissipation is increased due to requirement of high speed and static power dissipation is at much higher side now a days even compared to dynamic power dissipation due to very high gate leakage current and subthreshold leakage. Low power consumption is equally important as speed in many applications since it leads to a reduction in the package cost and extended battery life. This paper surveys contemporary optimization techniques that aims low power dissipation in VLSI circuits.

Design of low-power CMOS VLSI circuits using multi-objective optimization in genetic algorithms

This paper presents a design CAD tool for automated design of digital CMOS VLSI circuits. In order to fit the circuit performance into desired specifications, a multi-objective optimization approach based on genetic algorithms (GA) is proposed and the transistor sizes are calculated based on the analytical equations describing the behavior of the circuit. The optimization algorithm is developed in MATLAB and the performance of the designed circuit is verified using HSPICE simulations based on 0.18µm CMOS technology parameters. Different digital integrated circuits were successfully designed and verified using the proposed design tool. It is also shown in this paper that, the design results obtained from the proposed algorithm in MATLAB, have a very good agreement with the obtained circuit simulation results in HSPICE.

Technologies for creating radiation-resistant VLSI

The technology of radiation-resistant CMOS VLSI is based on industrial IC technology. The design uses feedback circuits and guard rings to compensate for single effects of cosmic particles (SEE). In most critical cases, these influences in digital circuits lead to single faults (SEU), which temporarily disrupt the state of memory cells, to latching (SEL), and in the long term to a catastrophic change of state. Various space programs confirm great prospects for their use in future space structures. The article discusses the effects of using radiation-resistant CMOS technology, technology based on a silicon-on-sapphire structure, CMOS technology on an insulating substrate taking into account typical characteristics, SIMOX-SOI technology, which consists in separation by implantation of oxygen ions. In new designs of circuits, more advanced algorithms should be implemented for the future.

Machine Learning Based Power Estimation for CMOS VLSI Circuits

Abstract The authors have requested that this preprint be withdrawn due to a need to make corrections.

Abstract Nowdays, machine learning (ML) algorithms are receiving massive attention in most of the engineering application since it has capability in complex systems modelling using historical data. Estimation of power for CMOS VLSI circuit using various circuit attributes is proposed using passive machine learning based technique. The proposed method uses supervised learning method which provides a fast and accurate estimation of power without affecting the accuracy of the system. Power estimation using random forest algorithm is relatively new. Accurate estimation of power of CMOS VLSI circuits is estimated by using random forest model which is optimized and tuned by using multi-objective NSGA-II algorithm. It is inferred from the experimental results testing error varies from 1.4 percent to 6.8 percent and in terms of and Mean Square Error is 1.46e-06 in random forest method when compared to BPNN. Statistical estimation like coefficient of determination (𝑅) and Root Mean Square Error (RMSE) are done and it is proven that random Forest is best choice for power estimation of CMOS VLSI circuits with high coefficient of determination of 0.99938. and low RMSE of 0.000116.

Leakage Power Reduction in CMOS VLSI Circuits using Advance Leakage Reduction Method

Recently, consumption of power is key problem of logic circuits based on Very Large Scale Integration. More potentiality consumption isn’t considered an appropriate for storage cell life for the use in cell operations and changes parameters such as optimality, efficiency etc, more consumption of power also provides for minimization of cell storage cycle. In present scenario static consumption of power is major troubles in logic circuits based on CMOS. Layout of drainage less circuit is typically complex. Several derived methods for minimization of consumption of potentiality for logic circuits based on CMOS. For this research paper, a technique called Advance Leakage reduction (AL reduction) is proposed to reduce the leakage power in CMOS logic circuits. To draw our structure circuit related to CMOS like Inverter, inverted AND, and NOR etc. we have seen the power and delay for circuits. This paper incorporates, analyzing of several minimization techniques as compared with proposed work to illustrate minimization in ratio of energy and time usage and time duration for propagation. LECTOR, Source biasing, Stack ONOFIC method is observed and analyzed with the proposed method to evaluate the leakage power consumption and propagation delay for logic circuits based on CMOS. Entire work has done in LT Spice Software with 180nm library of CMOS.

Revisiting the Utility of Transmission Gate and Passtransistor Logic Styles in CMOS VLSI Design

Peculiarities of appearance and registration of the latchup in cmos vlsi under uniform pulsed laser irradiation, export citation format, share document.

research papers in vlsi design

Journal of VLSI circuits and systems

research papers in vlsi design

About the Journal

Journal of VLSI circuits and systems (ISSN; 2582-1458) is open access peer-reviewed journal that publishes articles which contribute new results in all areas of VLSI Design & Circuits. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI  Circuits concepts and establishing new collaborations in these areas.

Focus and Scope (not limited to):

  • Algorithms for VLSI Design Automation
  • Advanced Computer Architecture
  • Advanced CPLD Based Design
  • Advanced FPGA Based Design
  • Analog VLSI Design
  • Analog& Digital IC Design
  • Asynchronous System Design
  • Advanced Digital Design
  • Analysis and Design of Digital Systems using VHDL
  • Advanced Computational Methods
  • Computational Methods for VLSI
  • CMOS RF Circuit Design
  • Computer Aided VLSI Design
  • Cryptology and Crypto Chip Design
  • Digital System Design
  • Digital Signal Processing Structures for VLSI
  • Digital Image Processing for VLSI
  • Data Structure & Algorithm Analysis
  • Design of VLSI System
  • Digital Logic with Verilog
  • Embedded Systems: High-Level Synthesis for VLSI Systems
  • Electronic Design Automation Tools
  • Electronic Packaging
  • Functional and Formal Verification
  • HDL Modelling
  • Hardware-Software Co-design
  • HDL Languages used for VLSI: Verilog & VHDL
  • Low Power VLSI Design
  • Modelling and Synthesis with Verilog HDLMOS Circuit Design
  • Mixed - Signal Circuit Design
  • MEMS and IC Integration
  • Nano Technology
  • PCB Designing
  • Process, Devices & Circuit Simulation
  • RF & Bio MEMS
  • Thermal Design of Electronic Equipment
  • Solid State Electronics Devices
  • System on Programmable Chip Design
  • Simulation, Synthesis & Verification of Integrated Circuits and Systems
  • VLSI System Testing
  • VLSI Process Technology
  • VLSI Test & Testability
  • VLSI Architectures, Algorithms, Methods & Tools for Modelling

Current Issue

Development of synthesizable filter-centric loop filter design for adpll architecture in soc, development of low power gnss correlator in zynq soc for gps and glonss.

Journal is currently Indexed in 

research papers in vlsi design

Make a Submission

                                                             Published by

research papers in vlsi design

Information

  • For Readers
  • For Authors
  • For Librarians

© 2024 VLSI Journal. All rights reserved.

Published by Society for Communication and Computer Technologies (SCCTS).

For information on becoming a member of SCCTS, please visit our membership page .

More information about the publishing system, Platform and Workflow by OJS/PKP.

IEEE Account

  • Change Username/Password
  • Update Address

Purchase Details

  • Payment Options
  • Order History
  • View Purchased Documents

Profile Information

  • Communications Preferences
  • Profession and Education
  • Technical Interests
  • US & Canada: +1 800 678 4333
  • Worldwide: +1 732 981 0060
  • Contact & Support
  • About IEEE Xplore
  • Accessibility
  • Terms of Use
  • Nondiscrimination Policy
  • Privacy & Opting Out of Cookies

A not-for-profit organization, IEEE is the world's largest technical professional organization dedicated to advancing technology for the benefit of humanity. © Copyright 2024 IEEE - All rights reserved. Use of this web site signifies your agreement to the terms and conditions.

Journal of VLSI Design Tools & Technology (JoVDTT)

  • Other Journals
  • For Readers
  • For Authors
  • For Librarians

Announcements

  • Editorial Team
  • Author Guidelines
  • Copyright Licensing Form
  • Publication Ethics and Malpractice Statement
  • Referencing Pattern
  • Manuscript Withdrawal Policy

Journal of VLSI Design Tools & Technology

Journal of VLSI Design Tools & Technology (JoVDTT)

ISSN (Online): 2249–474X

ISSN (Print) : 2321–6492

(Editor-in-Chief)

Dr. Brajesh Kumar Kaushik

Associate Professor, Department of Electronics and Communication Engineering, Indian Institute of Technology Roorkee

Email- [email protected]

     Click  here  for complete Editorial Board

Scientific Journal Impact Factor (SJIF): 6.071

Index Copernicus Value (ICV) : 54.42

Journal of VLSI Design Tools & Technology

(JoVDTT)  is focused towards the rapid publication of fundamental research papers on all areas of VLSI Design Tools & Technology.

Journal DOI No : 10.37591/JoVDTT

Indexing: The Journal is indexed in DRJI, Citefactor, Journal TOC, Google Scholar

Focus and Scope Covers

  • Analog/Digital Integrated Circuits & Systems
  • VLSI Architectures, Algorithms, Methods & Tools for Modeling
  • Simulation, Synthesis & Verification of Integrated Circuits and Systems
  • Embedded Systems; High-Level Synthesis for VLSI Systems
  • Test Generation Algorithms, Physical Design
  • Systems Engineering
  • Heterogeneous Systems

Submission of Paper:

All contributions to the journal are rigorously refereed and are selected on the basis of quality and originality of the work. The journal publishes the most significant new research papers or any other original contribution in the form of reviews and reports on new concepts in all areas pertaining to its scope and research being done in the world, thus ensuring its scientific priority and significance. Manuscripts are invited from academicians, students, research scholars and faculties for publication consideration.

Papers are accepted for editorial consideration through email  [email protected]

Plagiarism : All the articles will be check through  Plagiarism Software  before publication.  

Abbreviation : (JoVDTT)  

Frequency : Three issues per year

Peer Reviewed Policy

Editorial Board

Instructions to Authors

research papers in vlsi design

Publisher:  STM Journals, an imprint of CELNET (Consortium e-Learning Network Pvt. Ltd.)

Address:  A-118, 1st Floor, Sector-63, Noida, Uttar Pradesh-201301, India

Phone no.:  (+91)120-4781-215/ Email:  [email protected]

 
No announcements have been published.
 

Vol 13, No 3 (2023)

Table of contents.

IMAGES

  1. (PDF) Review Paper on Low Power VLSI Design Techniques

    research papers in vlsi design

  2. (PDF) The Design of VLSI Design Methods

    research papers in vlsi design

  3. The VLSI design process. The shaded part highlights the physical design

    research papers in vlsi design

  4. Understanding The Vlsi Design Flow A Comprehensive Vl

    research papers in vlsi design

  5. (PDF) VLSI Design and Implementation of Low Power MAC Unit with Block

    research papers in vlsi design

  6. (PDF) Survey On VLSI Design For Artificial Intelligence And Machine

    research papers in vlsi design

VIDEO

  1. Physical Design Using Synopsys Tool

  2. FOC field oriented control Mathematical Model of Induction Motor Matlab Simulink

  3. Coconut grading and classification matlab code

  4. VLSI System Design- Open to Innovate !!

  5. (P2) Introduction to CMOS VLSI Design

  6. synthesis_verilog1

COMMENTS

  1. VLSI for Next Generation CE

    The current research in VLSI explores emerging trends and novel ideas and concepts covering a broad range of topics in the area of VLSI: from VLSI circuits, systems, and design methods, to system-level design and systemon- chip issues, to bringing VLSI methods to new areas and technologies such as nano and molecular devices, MEMS, and quantum computing. Future design methodologies are also key ...

  2. AI/ML algorithms and applications in VLSI design and technology

    The impact of AI on VLSI design was first demonstrated in 1985 by Robert. S. Kirk [15]. He briefly explained the scope and necessity for AI techniques in CAD tools at different levels of VLSI design. His paper included a brief on the existing VLSI-AI tools and stressed the importance of incorporating the expanded capabilities of AI in CAD tools.

  3. AI/ML Algorithms and Applications in VLSI Design and Technology

    The impact of AI on VLSI design was first demonstrated in 1985 by Robert. S. Kirk [15]. He briefly explained the scope and necessity for AI techniques in CAD tools at different levels of VLSI design. His paper included a brief on the existing VLSI-AI tools and stressed the importance of

  4. Implementation of AI in the field of VLSI: A Review

    The Very Large Scale Integration (VLSI) industry has started adapting the Artificial Intelligence (AI) techniques in design automation as it provides the opportunity to transform the whole chip design methodology. It has been seen that in System-On-Chip (SoC), in order to add ML algorithms to increase its efficiency, there is a need to reduce the existing power consumption of the hardware as ...

  5. Current issues and emerging techniques for VLSI testing

    The development of complementary metal-oxide-semiconductor (CMOS) technology brought about a new paradigm for low-power circuit design. For the implementation of digital circuits with very large-scale integration, CMOS design styles are frequently employed in VLSI. There are billions of transistors on a single die in today's IC devices.

  6. 19223 PDFs

    Explore the latest full-text research PDFs, articles, conference papers, preprints and more on VLSI DESIGN. Find methods information, sources, references or conduct a literature review on VLSI DESIGN

  7. Analysis, Physical Design and Power Optimization of Design Block at

    The VLSI trend is getting complex day by day, especially the complexity of IC technology. It is very important to have better design approach and power optimization methods with constraint on timing closure and physical verification. The IC's designed today are complex and hence require a good Physical Design optimization and strategies. The methodology used in this paper is top down approach ...

  8. (PDF) AI in VLSI Design Advances and Challenges: Living ...

    VLSI configuration is the most common way of making coordinated. circuits by joining millions or billions of semiconductors on a solitary chip. VLSI. configuration empowers the improvement of ...

  9. Progress of Placement Optimization for Accelerating VLSI Physical Design

    Placement is essential in very large-scale integration (VLSI) physical design, as it directly affects the design cycle. Despite extensive prior research on placement, achieving fast and efficient placement remains challenging because of the increasing design complexity. In this paper, we comprehensively review the progress of placement optimization from the perspective of accelerating VLSI ...

  10. VLSI-SoC: Design Trends

    The VLSI-SoC 2020 proceedings present cutting-edge research on very large scale integration, low-power design of RF, and more. VLSI-SoC: Design Trends: 28th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2020, Salt Lake City, UT, USA, October 6-9, 2020, Revised and Extended Selected Papers | SpringerLink

  11. Implementation of Machine Learning in VLSI Integrated Circuit Design

    AI research stems from advancements in VLSI design support and computer components. VLSI designers must study as well as integrate technological advancements as they occur on a daily and periodic basis in order to upgrade their design pattern. For VLSI design, AI offers enhanced conceptual framework, characteristics, and capability.

  12. Applications of VLSI Design in Artificial Intelligence and Machine

    For resolving this issue, electronic design automation (EDA) must provide "design-based equivalent scaling" to continue the critical industry trajectory. For solving this problem machine learning techniques should be used both inside and "peripherally" in the design tools and flows.

  13. 68784 PDFs

    Explore the latest full-text research PDFs, articles, conference papers, preprints and more on VLSI TECHNOLOGY. Find methods information, sources, references or conduct a literature review on VLSI ...

  14. High-Performance VLSI Architectures for Artificial Intelligence and

    in VLSI architectures for AI and ML applications, resolve crucial issues, and open doors to create next-generation computing platforms. This project seeks to offer concrete answers to urgent problems in high-performance VLSI architecture design and optimization by establishing a connection between theoretical research and real-world

  15. Electronics

    The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and related systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration areas, testing and security ...

  16. VLSI Design: Circuits, Systems and Applications

    This book showcases the latest research in very-large-scale integration (VLSI) Design: Circuits, Systems and Applications, making it a valuable resource for all researchers, professionals, and students working in the core areas of electronics and their applications, especially in digital and analog VLSI circuits and systems.

  17. Low power design practices for power optimization at the logic and

    Abstract: Reduction of power consumption in battery-powered and portable VLSI systems has become an important aspect in system design. The various sources of power dissipation have been discussed in this paper. Opportunities for power optimization and tradeoffs emphasizing low power are available across the entire design hierarchy through different levels including technology, layout, circuit ...

  18. (PDF) Advancements in VLSI low-power design: Strategies and

    This paper provides an overview of the theoretical and research developments in Very Large Scale Integration (VLSI) low-power design. Initially, the paper delves into the components of VLSI power ...

  19. Electronics

    The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration area, testing and security, without ...

  20. cmos vlsi Latest Research Papers

    This paper presents a design CAD tool for automated design of digital CMOS VLSI circuits. In order to fit the circuit performance into desired specifications, a multi-objective optimization approach based on genetic algorithms (GA) is proposed and the transistor sizes are calculated based on the analytical equations describing the behavior of the circuit.

  21. Journal of VLSI circuits and systems

    About the Journal. Journal of VLSI circuits and systems (ISSN; 2582-1458) is open access peer-reviewed journal that publishes articles which contribute new results in all areas of VLSI Design & Circuits. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Circuits concepts and establishing new collaborations in these ...

  22. PDF Low Power VLSI Design Techniques: A Review

    Low Power VLSI Design Techniques: A Review Ketan J. Raut1*, Abhijit V. Chitre2, Minal S. Deshmukh3 and Kiran Magar4 1,2,3,4 Dept. of E&TC Engineering, Vishwakarma Institute of Information Technology, Pune, India 1 [email protected], 2 [email protected], 3 [email protected], [email protected] Abstract: Since CMOS technology consumes less power it is a key technology ...

  23. Advanced CMOS VLSI Technology for Low Power Analog System Design with

    This research article provides an insight about the important challenges involved in the low power analog system design using advanced CMOS VLSI approach. Reduction in the dimension of MOS base channel and reduction in gate oxide results in greater advancement in terms of area of the chip, operating speed, and reduction of power consumption (mainly in digital components). In other words, few ...

  24. Journal of VLSI Design Tools & Technology (JoVDTT)

    Journal of VLSI Design Tools & Technology (JoVDTT) is focused towards the rapid publication of fundamental research papers on all areas of VLSI Design Tools & Technology. Journal DOI No: 10.37591/JoVDTT. Indexing: The Journal is indexed in DRJI, Citefactor, Journal TOC, Google Scholar Focus and Scope Covers. Analog/Digital Integrated Circuits ...